From 7f7efd18e6cd1534f197cd097a4b823ec5ec2ad7 Mon Sep 17 00:00:00 2001 From: Alban Gruin <alban.gruin@irit.fr> Date: Thu, 6 Jul 2023 10:42:14 +0200 Subject: [PATCH] frontend: remove usage of opaque module `unread' Signed-off-by: Alban Gruin <alban.gruin@irit.fr> --- src/frontend/bht.sv | 2 -- src/frontend/btb.sv | 2 -- src/frontend/instr_queue.sv | 6 ------ 3 files changed, 10 deletions(-) diff --git a/src/frontend/bht.sv b/src/frontend/bht.sv index 9eae69d3..e57c34bb 100644 --- a/src/frontend/bht.sv +++ b/src/frontend/bht.sv @@ -34,8 +34,6 @@ module bht #( localparam ROW_ADDR_BITS = $clog2(ariane_pkg::INSTR_PER_FETCH); // number of bits we should use for prediction localparam PREDICTION_BITS = $clog2(NR_ROWS) + OFFSET + ROW_ADDR_BITS; - // we are not interested in all bits of the address - unread i_unread (.d_i(|vpc_i)); struct packed { logic valid; diff --git a/src/frontend/btb.sv b/src/frontend/btb.sv index 86eeadc0..23f365fd 100644 --- a/src/frontend/btb.sv +++ b/src/frontend/btb.sv @@ -36,8 +36,6 @@ module btb #( localparam PREDICTION_BITS = $clog2(NR_ROWS) + OFFSET + ROW_ADDR_BITS; // prevent aliasing to degrade performance localparam ANTIALIAS_BITS = 8; - // we are not interested in all bits of the address - unread i_unread (.d_i(|vpc_i)); // typedef for all branch target entries // we may want to try to put a tag field that fills the rest of the PC in-order to mitigate aliasing effects diff --git a/src/frontend/instr_queue.sv b/src/frontend/instr_queue.sv index 15f3269d..84df044d 100644 --- a/src/frontend/instr_queue.sv +++ b/src/frontend/instr_queue.sv @@ -374,12 +374,6 @@ module instr_queue ( .pop_i ( pop_address ) ); - unread i_unread_address_fifo (.d_i(|{empty_address, address_queue_usage})); - unread i_unread_branch_mask (.d_i(|branch_mask_extended)); - unread i_unread_lzc (.d_i(|{branch_empty})); - unread i_unread_fifo_pos (.d_i(|fifo_pos_extended)); // we don't care about the lower signals - unread i_unread_instr_fifo (.d_i(|instr_queue_usage)); - always_ff @(posedge clk_i or negedge rst_ni) begin if (!rst_ni) begin idx_ds_q <= 'b1; -- GitLab