Skip to content
Snippets Groups Projects
Commit 1f23dc8e authored by Alban Gruin's avatar Alban Gruin
Browse files

frontend: add asserts to assess the correct behaviour of the replay mechanism


Signed-off-by: default avatarAlban Gruin <alban.gruin@irit.fr>
parent 2b72890f
No related branches found
No related tags found
No related merge requests found
...@@ -438,6 +438,15 @@ module frontend import ariane_pkg::*; #( ...@@ -438,6 +438,15 @@ module frontend import ariane_pkg::*; #(
initial begin initial begin
assert (FETCH_WIDTH == 32 || FETCH_WIDTH == 64) else $fatal("[frontend] fetch width != not supported"); assert (FETCH_WIDTH == 32 || FETCH_WIDTH == 64) else $fatal("[frontend] fetch width != not supported");
end end
assert property (
@(posedge clk_i) disable iff (!rst_ni) replay |-> (replay_addr == icache_vaddr_q))
else $warning(1, "[frontend] replay_addr != icache_vaddr_q");
assert property (
@(posedge clk_i) disable iff (!rst_ni) replay |-> ~instr_queue_ready)
else $warning(1, "[frontend] replay & instr_queue_ready...");
`endif `endif
// pragma translate_on // pragma translate_on
endmodule endmodule
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment