Skip to content
Snippets Groups Projects
Commit 7f7efd18 authored by Alban Gruin's avatar Alban Gruin
Browse files

frontend: remove usage of opaque module `unread'


Signed-off-by: default avatarAlban Gruin <alban.gruin@irit.fr>
parent ad1081d2
No related branches found
No related tags found
No related merge requests found
......@@ -34,8 +34,6 @@ module bht #(
localparam ROW_ADDR_BITS = $clog2(ariane_pkg::INSTR_PER_FETCH);
// number of bits we should use for prediction
localparam PREDICTION_BITS = $clog2(NR_ROWS) + OFFSET + ROW_ADDR_BITS;
// we are not interested in all bits of the address
unread i_unread (.d_i(|vpc_i));
struct packed {
logic valid;
......
......@@ -36,8 +36,6 @@ module btb #(
localparam PREDICTION_BITS = $clog2(NR_ROWS) + OFFSET + ROW_ADDR_BITS;
// prevent aliasing to degrade performance
localparam ANTIALIAS_BITS = 8;
// we are not interested in all bits of the address
unread i_unread (.d_i(|vpc_i));
// typedef for all branch target entries
// we may want to try to put a tag field that fills the rest of the PC in-order to mitigate aliasing effects
......
......@@ -374,12 +374,6 @@ module instr_queue (
.pop_i ( pop_address )
);
unread i_unread_address_fifo (.d_i(|{empty_address, address_queue_usage}));
unread i_unread_branch_mask (.d_i(|branch_mask_extended));
unread i_unread_lzc (.d_i(|{branch_empty}));
unread i_unread_fifo_pos (.d_i(|fifo_pos_extended)); // we don't care about the lower signals
unread i_unread_instr_fifo (.d_i(|instr_queue_usage));
always_ff @(posedge clk_i or negedge rst_ni) begin
if (!rst_ni) begin
idx_ds_q <= 'b1;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment